下载此文档

基于Multisim的拔河游戏机.doc


文档分类:生活休闲 | 页数:约18页 举报非法文档有奖
1/18
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/18 下载此文档
文档列表 文档介绍
电子线路课程设计论文题目拔河游戏机学院信息工程学院专业电子信息工程班级08信工(2)班学号200883080200883083姓名熊海清刘春指导老师高玲老师2011年4月摘要本课程设计的内容是由信号发生器电路、可逆计数/译码器电路、胜负显示电路和控制电路四部分组成设计的一款电子拔河游戏机。信号产生电路通过开关选择接地还是接电源产生的脉冲,经过由与非门和与门组成的RS触发器整形电路整形后脉冲信号。可逆计数/译码电路中的计数器采用集成芯片二进制可逆计数器74ls192,译码器采用集成芯片4线-4514。由脉冲变化来控制9个发光二极管的亮灭,从而模仿现实生活中拔河的要求,4518记录下两边的比分,在显示器DCD_hex上显示。经调试仿真效果均达到课程设计要求。关键词:信号发生器;可逆计数/译码器;4514AbstractOurcurriculumdesignofprogramme-circuitisthesignal,non-,:Signalgenerators;Codeforthecount;4514目录前言 11设计任务与要求 12总体框图 23实验元器件选择及其功能 34单元电路设计 115总体设计电路图 126安装与调试 137性能测试与分析 138设计总结 139实验心得与体会 14参考文献 15前言 随着现代科技的不断发展,人们的生产生活水平也在不断提高。与此同时,各式各样的仪器设备、新型家电产品都在不断出现,丰富着人们的生活,为人们排忧解难,娱乐身心。拔河游戏机就是一种综合性、趣味性的试验,它结构简单,易安装与调试,使生产或者自行制作的最佳选择。1设计任务与要求(1)拔河游戏机需要15个或者9个发光二极管排列成一行,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速的,不断的按动产生脉冲,谁按得快,亮点向谁方向移动,没按一次,亮点移动一次。移动到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点回复到中心线。(2)显示器显示胜出者。(1)本课题所设计的拔河游戏机由9电平指示灯排列成一行,开机之后只有中间一个电平指示灯亮,以此作为拔河的中心线。可逆计数器原始状态为0000,经译码后输出中间的电平指示灯亮。游戏双方各持一个按键,迅速地、不断地按动产生脉冲信号,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。(2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用,即实现电路自锁,使加减脉冲无效。同时,使计分电路自动加分。当两人比赛结束后,裁判可以让计分显示器清零。(3)控制电路部分应能控制由振荡器产生的脉冲信号进入计数器的加减脉冲的输入端,其进入方向则由参赛双方的按键信号决定。、+4514BD4线—(1)74LS08与门74LS08引出端符号:(2)74LS00与非门74LS00D引出端符号:(3)74LS02D或非门74ls02引出端符号:(4)74LS192D同步十进制可逆计数器74LS192可预置的同步十进制可逆计数器,其主要电特性的典型值如下

基于Multisim的拔河游戏机 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数18
  • 收藏数0 收藏
  • 顶次数0
  • 上传人changjinlai
  • 文件大小548 KB
  • 时间2020-08-10