下载此文档

4位十进制频率计的设计.EDA课程设计.doc


文档分类:通信/电子 | 页数:约17页 举报非法文档有奖
1/17
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/17 下载此文档
文档列表 文档介绍
4位十进制频率计的设计.EDA课程设计.doc※※※※※※※※※
※※
※※
※※
※※※※※※※※※
2008级学生
EDA课程设计
EDA课程设计报告书
课题名称
4位十进制频率计的设计
姓名
刘智
学号
0812201-45
院系
物理与电信工程系
专业
电子信息工程
指导教师
周来秀讲师
2011年 6月10日
设计任务及要求:
1),设计一个能测量1-9999HZ的四位十进制频率计。
2)t10,锁存器reg16,测频控制信号发生器Tctl和七段译码器显示控制scan_led四大模块构成。
3)最后能够显示出频率范围内的任意计数值即频率值。

指导教师签名:

年月日
二、指导教师评语:
指导教师签名:
年月日
三、成绩
验收盖章
年月日
4位十进制频率计的设计
刘智
(湖南城市学院物理与电信工程系电子信息工程专业,湖南益阳,41300)
1设计目的
1)学****掌握频率计的设计方法。
2)掌握动态扫描输出电路的实现方法。
3)学****较复杂的数字系统设计方法。
2设计的主要内容和要求
4位十进制频率计外部接口设计,顶层文件设计,包含4个模块,Tctl,Reg16,t10(4个十进制计数器)。
3 整体设计方案
根据频率计的定义和频率测量的基本原理:频率计即是指单位时间1秒内输入脉冲个数并显示出来的电路。因为要显示被测信号的,只要限制计数器的计数过程为一秒则计数器的结果即为被测信号的频率。频率值为:Fx=N,N为一秒内计数器所计脉冲个数。因为是4位十进制频率计计数器是模为9999的十进制加法计数器,可以由4个模为10的十进制计数器级联而成,所以可以显示的频率范围是1-9999HZ。因此,频率计的功能分割成四个模块:计数器,测频控制信号发生器和输出锁存器,。各个模块均用VHDL语言描述 。待测信号的转速必须有一个脉宽为1秒的输入信号脉冲计数允许的信号;1秒计数结束后,计数值锁入锁存器的锁存信号,并有为下一测频计数周期作准备的计数器清零信号。这三个信号可以由一个测频控制信号发生器产生。当系统正常工作时,标准信号提供频率为1 Hz的输入信号,经过测频控制信号发生器进行信号变换,产生计数信号,将被测信号当做脉冲信号送入计数器模块,计数模块对输入的脉冲个数进行计数数结束后,将计数结果送入锁存器中,保证系统可以稳定显示数据,计数结果能够显示在七段数码显示管上。
根据系统设计要求,要实现一个4位十进制数字频率计,则要设计测频控制信号发生器模块tct1、t10、reg16锁存器模块, 七段译码显示模块scan_led其原理框图如下图所示。









锁存器










主控制流程图
四位十进制频率计顶层文件源程序如下:
library ieee;
use ;
use ;
entity dc is
port(fin,clk_1hz:in std_logic;
ledout:out std_logic_vector(27 downto 0));
end dc;
architecture one of dc is
component Tct1 ---Tct1元件声明
port( clk: in std_logic;
en,rst,load :out std_logic);
ponent;
component t10 ----t10元件声明
port(clk,rst,en: in std_logic;
q0,q1,q2,q3 :out std_logic_vector(3 downto 0);
cout:out std_logic);
ponent;
component reg16 ---reg16元件声明
port(load: in std_logic;
di : in std_logic_vector(15 downto 0);
dout : out std_logic_vector(15 downto 0) );
ponent;
component scan_led ---scan_led元件声明
port(din: in std_logic_vector(15 downto 0);
sg :out std_logic_vector(6 downto 0);
bt: out std_logic_vector(1 downto 0));
ponent;
signal x,z,f:std_logic;

4位十进制频率计的设计.EDA课程设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数17
  • 收藏数0 收藏
  • 顶次数0
  • 上传人xinsheng2008
  • 文件大小453 KB
  • 时间2018-05-06