下载此文档

脉冲按键电话显示器.doc


文档分类:通信/电子 | 页数:约16页 举报非法文档有奖
1/16
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/16 下载此文档
文档列表 文档介绍
该【脉冲按键电话显示器 】是由【小果冻】上传分享,文档一共【16】页,该文档可以免费在线阅读,需要了解更多关于【脉冲按键电话显示器 】的内容,可以使用淘豆网的站内搜索功能,选择自己适合的文档,以下文字是截取该文章内的部分文字,如需要获得完整电子版,请下载此文档到您的设备,方便您编辑和打印。课程设计课程EDA技术课程设计题目脉冲按键电话显示器院系工学院专业班级13通信学生姓名胡潇予学生学号202401031040指导教师杨永福2024年6月10日课程设计任务书课程EDA技术课程设计题目脉冲按键电话显示器专业通信工程姓名胡潇予学号202401031040主要内容、根本要求、主要参考资料等主要内容:设计一个准确地反映按键数字具有8位显示的电话按键显示器,该电话显示器要求具有重拨的功能,当按下重拨键时,能够显示最后一次输入的电话号码。根本要求:1、设计一个具有8位显示的电话按键显示器;2、能准确地反映按键数字;3、显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;4、设置一个“重拨〞键,按下此键,能显示最后一次输入的电话号码;5、挂机2秒后或按熄灭按键,熄灭显示器显示。主要参考资料:[1](第二版).北京:科学出版社,2024.[2]:高教出版社,2024.[3]:高教出版社,、、具有8位显示的电话按键显示器。摘机时开始工作,显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;设置一个“重拨〞键,按下此键能显示最后一次输入的电话号码;挂机2秒后或按熄灭键,熄灭显示器显示。脉冲按键电话显示器由五个模块组成:按键电路、译码器、移位存放、锁存器和数码管显示电路,其中移位存放、锁存器和数码管译码显示电路为系统的主要组成局部。〔1〕按键电路模块。提供“0〞到“9〞数字按键的输入,同时设置有拨号键,去除键,挂机键和重拨键。〔2〕译码电路模块。译码器有两个功能。第一,把输入的一位键值转换成四位BCD码;第二,把四位二进制码译成相应的数码管输出显示码。〔3〕移位存放器、锁存模块。移位存放器分为三个局部。当按下拨号键时,数字按键值依次由数码管的低位向高位移动,同时送入锁存器中;当按下删除键时,键值由高位向低位移除,高位数码管熄灭;当按下重拨键时,锁存器中存储的键值输入到移位存放器中,并通过数码管显示出来。〔4〕数码管显示模块。数码管显示用于将设置好的每个按键的键值在数码管上显示出来。由于实验过程中需要使用8个数码管,因此数码管显示模块必须加上数码管片选及移位得程序,从而实现数据输入以后从低位向高位移动、显示。、设计步骤和调试过程1、总体设计电路图2总体设计电路2、模块设计和相应模块程序(1)顶层文件程序LIBRARYIEEE;;;31ENTITYUPKEYISPORT(DIN1:INSTD_LOGIC_VECTOR(9DOWNTO0);CLK1,CLEAR,DIAL,RE_DIAL:INSTD_LOGIC;KEYOUT:OUTSTD_LOGIC;SEG71:OUTSTD_LOGIC_VECTOR(6DOWNTO0);SEG8:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDENTITY;ARCHITECTUREONEOFUPKEYISCOMPONENTSHOWISPORT(DIN:INSTD_LOGIC_VECTOR(9DOWNTO0);CLK,CLEAR,DIAL,RE_DIAL:INSTD_LOGIC;KEYOUT:OUTSTD_LOGIC;SET:OUTSTD_LOGIC_VECTOR(3DOWNTO0);SEG8:OUTSTD_LOGIC_VECTOR(7DOWNTO0));PONENT;COMPONENTTRAISPORT(BCD1:INSTD_LOGIC_VECTOR(3DOWNTO0);SEG7:OUTSTD_LOGIC_VECTOR(6DOWNTO0));PONENT;SIGNALSET_1:STD_LOGIC_VECTOR(3DOWNTO0);BEGINU1:SHOWPORTMAP(DIN1,CLK1,CLEAR,DIAL,RE_DIAL,KEYOUT,SET_1,SEG8);41U2:TRAPORTMAP(SET_1,SEG71);ENDARCHITECTUREONE;(2)译码器译码局部的设计图3BCD译码电路图BCD译码子程序:LIBRARYIEEE;;;ENTITYTRAISPORT(BCD1:INSTD_LOGIC_VECTOR(3DOWNTO0);SEG7:OUTSTD_LOGIC_VECTOR(6DOWNTO0));ENDENTITY;51ARCHITECTUREONEOFTRAISBEGINPROCESS(BCD1)ISBEGINCASEBCD1ISWHEN"0000"=>SEG7<="0111111";WHEN"0001"=>SEG7<="0000110";WHEN"0010"=>SEG7<="1011011";WHEN"0011"=>SEG7<="1001111";WHEN"0100"=>SEG7<="1100110";WHEN"0101"=>SEG7<="1101101";WHEN"0110"=>SEG7<="1111101";WHEN"0111"=>SEG7<="0000111";WHEN"1000"=>SEG7<="1111111";WHEN"1001"=>SEG7<="1101111";WHENOTHERS=>SEG7<="0000000";ENDCASE;ENDPROCESS;ENDARCHITECTURE;(3)键值显示局部设计61图4按键显示控制电路图按键显示控制子程序:LIBRARYIEEE;;;ENTITYSHOWISPORT(DIN:INSTD_LOGIC_VECTOR(9DOWNTO0);CLK,CLEAR,DIAL,RE_DIAL:INSTD_LOGIC;KEYOUT:OUTSTD_LOGIC;SET:OUTSTD_LOGIC_VECTOR(3DOWNTO0);SEG8:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDENTITY;ARCHITECTUREONEOFSHOWISSUBTYPETENISSTD_LOGIC_VECTOR(3DOWNTO0);SIGNALBCD:TEN;TYPENUMBER1ISARRAY(7DOWNTO0)OFSTD_LOGIC_VECTOR(3DOWNTO0);71SIGNALNUMBER:NUMBER1;SIGNALKEY,KEY1,CLK1,DIAL1,RE_DIAL1:STD_LOGIC;SIGNALCOUNT:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALCOUNT1:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALCOUNT2:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALDIN1:STD_LOGIC_VECTOR(9DOWNTO0);SIGNALLOCK:STD_LOGIC_VECTOR(31DOWNTO0);BEGINPROCESS(CLK)ISBEGINIFRISING_EDGE(CLK)THENCOUNT1<=COUNT1+1;ENDIF;ENDPROCESS;CLK1<='0'WHENCOUNT1<"1100"ELSE'1';PROCESS(CLK1)ISBEGINIFRISING_EDGE(CLK1)THENDIN1(9DOWNTO0)<=DIN(9DOWNTO0);DIAL1<=DIAL;RE_DIAL1<=RE_DIAL;ENDIF;81ENDPROCESS;KEY<=(DIN1(0)ORDIN1(1)ORDIN1(2)ORDIN1(3)ORDIN1(4)ORDIN1(5)ORDIN(6)ORDIN1(7)ORDIN1(8)ORDIN1(9));PROCESS(CLK1)ISBEGINIFFALLING_EDGE(CLK1)THENIFCOUNT2="100"THENIFCLEAR='0'ANDRE_DIAL='0'THENKEY1<=KEY;ELSIFCLEAR='1'ANDRE_DIAL='0'THENKEY1<=CLK;ELSEKEY1<=RE_DIAL1;ENDIF;COUNT2<="000";ELSECOUNT2<=COUNT2+1;ENDIF;ENDIF;ENDPROCESS;PROCESS(DIN1)ISBEGINIFDIN1(6)='1'THENBCD<="0110";ELSIFDIN1(1)='1'THENBCD<="0001";ELSIFDIN1(2)='1'THENBCD<="0010";ELSIFDIN1(3)='1'THENBCD<="0011";ELSIFDIN1(4)='1'THENBCD<="0100";

脉冲按键电话显示器 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数16
  • 收藏数0 收藏
  • 顶次数0
  • 上传人小果冻
  • 文件大小118 KB
  • 时间2024-04-22