下载此文档

第五章 有限状态机的设计ppt课件.ppt


文档分类:IT计算机 | 页数:约43页 举报非法文档有奖
1/43
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/43 下载此文档
文档列表 文档介绍
第五章状态机设计有限状态机(FSM)—在一个有限状态下,以目前状态为准,当有时钟脉冲及输入信号到来时,它将以目前状态和输入信号变化状况为依据,产生下一次状态及输出信号。状态机的定义:状态机分类:按输出方式分Mealy型Moore型输出仅为当前状态的函数输出是当前状态和输入的函数按结构分单进程多进程主进程和辅进程合一分时序、组合主进程,辅助进程按状态表达分符号状态机确定编码状态机S0,S1,S2,…000,001,010,…按编码方式分顺序编码一位热码二进制顺序编码等00001,00010,00100,…其它编码格雷码等状态机按照其输入、当前状态、下一次状态、输出信号的变化关系,可分成两大类:摩尔状态机(MooreMachine)米立状态机(MealyMachine)Moore—输出电位只与当前所处的状态有关,而与输入信号无立即的关系。Mealy—输出电位不仅与当前所处的状态有关,而且与输入信号也有关。:TYPE数据类型名IS数据类型定义OF基本数据类型;或TYPE数据类型名IS数据类型定义;TYPEst1ISARRAY(0TO15)OFSTD_LOGIC;TYPEweekIS(sun,mon,tue,wed,thu,fri,sat);(st0,st1,st2,st3,st4,st5);SIGNALpresent_state,next_state:m_state;TYPEBOOLEANIS(FALSE,TRUE);TYPEmy_logicIS('1','Z','U','0');SIGNALs1:my_logic;s1<='Z';SUBTYPE子类型名IS基本数据类型RANGE约束范围;SUBTYPEdigitsISINTEGERRANGE0to9;、程序层次分明,易读易懂在高速运算和控制方面,...ISTYPEFSM_STIS(s0,s1,s2,s3);SIGNALcurrent_state,next_state:FSM_ST;...-

第五章 有限状态机的设计ppt课件 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数43
  • 收藏数0 收藏
  • 顶次数0
  • 上传人glfsnxh
  • 文件大小666 KB
  • 时间2020-09-30