下载此文档

实验二简单时序电路的设计.doc


文档分类:高等教育 | 页数:约4页 举报非法文档有奖
1/4
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/4 下载此文档
文档列表 文档介绍
简单时序电路的设计一、实验目的: 。、仿真和硬件测试。二、实验原理 时序逻辑电路是现代复杂数字电路的重要组成部分,往往占到整个设计的90%以上。触发器是时序电路的基本单元,本实验中将涉及到边沿触发和电平触发两种电路结构,其中边沿触发是实际电路实现的主要方式。三实验环境硬件:PC机、CycloneIII开发板一块。软件: 输入:D 输出:Q 触发时钟:CLK2)在QuartusII环境下对以上设计的模块进行编译,记录时序分析数据和仿真波形,并在实验电路上进行硬件测试。 管脚锁定: D PIN_J6 CLK PIN_G4 Q PIN:。本项设计文件夹取名为D:\DFF1。输入源程序。打开QuartusII,选择File—New。在New窗口中的DeviceDesignFiles中选择编译文件的语言类型,这里选择VHDLFiles。然后在VHDL文本编辑窗中输入2选1多路选择器的VHDL程序。程序如下:LIBRARYIEEE;;ENTITYDFF1ISPORT(CLK:INSTD_LOGIC;D:INSTD_LOGIC;Q:OUTSTD_LOGIC);END;ARCHITECTUREbhvOFDFF1ISSIGNALQ1:STD_LOGIC;BEGINPROCESS(CLK,Q1)BEGINIFCLK'EVENTANDCLK='1'THENQ1<=D;ENDIF;ENDPROCESS;Q<=Q1;ENDbhv;文件存盘。选择File—SaveAs命令,找到已设立的文件夹D:\DFF1,存盘文件名应该与实体名一致,。当出现问句“Doyouwanttocreate…”时,点击“是”,直接进入创建工程流程。(1)打开建立新工程管理窗口。在上一步弹出的NewProjectWizard:Introduction窗口点击“Next”按钮,即弹出“工程设置”对话框。单击此对话框最上一栏右侧的“…”按钮,找到文件夹D:\quartvs2\DFF1,“打开”按钮。(2)将设计文件加入工程中。单击下方的“Next”按钮,在弹出的对话框中单击File栏的按钮,将与工程有关的所有VHDL文件加入此工程,然后单击下方的“Next”按钮。(3)选择目标芯片。首先在Family栏选芯片系列,在此选“Cyclne3”系列,再在Availabledevices栏中选择具体芯片,最后在Package栏选择FBGA,在Pincount栏中选择256,点击下方的“Next”按钮。(4)结束设置。在弹出的窗口再点击“Next”按钮,出现“工程设置统计”窗口,上面列出了此项工程相关的设置情况,最后单击Finish按钮。即已设计好此工程。,启动全程编译。编译过程中药注意工程管理窗口下方的Processing栏中的编译信息。如果工程中文件有错误,启动编译后在下方的Processing栏中会显示出来,对于Processing栏显示出的语句格式错误,

实验二简单时序电路的设计 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数4
  • 收藏数0 收藏
  • 顶次数0
  • 上传人rdwiirh
  • 文件大小210 KB
  • 时间2020-09-25