下载此文档

北邮数电综合实验电子沙漏设计和实现.doc


文档分类:高等教育 | 页数:约21页 举报非法文档有奖
1/21
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/21 下载此文档
文档列表 文档介绍
北邮数电综合实验电子沙漏的设计与实现北京邮电大学数电综合实验报告实验名称:电子沙漏的设计与实现学院:信息与通信工程学院姓名:班级:学号:班内序号:一、实验设计的基本要求沙漏是一种古老的计时工具,也是一种玩具。电子沙漏用发光二极管表示沙粒,模拟沙漏的运动过程。电子沙漏会像真正的沙漏一样,上部的沙粒(点亮的发光二极管)一粒一粒往下掉,下部的沙粒一粒一粒堆起来。1、采用8*8双色点阵显示电子沙漏的开机界面,如图2所示。其中红色LED代表沙漏的上半部分沙粒VD0~VD15,绿色LED代表沙漏的下半部分VD0'~VD15'。2、用拨码开关SW1模拟重力感应器。当SW1为低电平时,沙粒从VD0~VD15向VD0'~VD15'移动;当SW1为高电平时,沙粒从VD0'~VD15'向VD0~VD15移动。3、按键BTN0作为计时启动停止按键,启动后沙粒即可按照SW1设定的方向移动,以SW1为低电平时为例,LED移动的顺序与对应关系如图3的1~16所示(若SW1为高电平,则点阵显示移动顺序为16~1)。每颗沙粒的移动时间为1秒,当移动到图3的16时,若SW1仍为低电平,则保持沙粒不动,但计时继续,直到SW1的电平发生变化或者BTN0计时停止。4、设计实现一个60秒计时器,当按键BTN0启动时开始工作,用于在沙粒移动过程中进行计时校准,并用数码管DISP0~DISP1显示计时结果。提高要求:1、可以调节控制电子沙漏的流动速度。?2、用多种方式呈现电子沙漏界面。?3、自行设定沙粒的移动路径,显示每颗沙粒的移动过程。4、外接重力感应器,实现真实的电子沙漏功能。?5、自拟其它功能。二、系统设计1、设计思路实验比较复杂,故采用分模块设计的思想,将模块分为了分频模块、控制模块、数码管显示模块、8*8点阵显示模块。由于本实验需要用BTN0按键来控制时间和沙漏的开始运行以及时间的暂停功能,故需要检测输入,此时就要用到防抖模块,防止在按下按键时有多个上升沿产生导致开关并不能完美的发挥作用。控制模块是用来实现具体的操作的,通过对按下BTN0按键的次数统计,将其分为奇数与偶数两种情况,在奇数时使功能正常运行,在统计为偶数时使时间暂停,以此来实现对此系统的控制。由于数电实验电路板自带着时钟频率,但此频率并不能满足系统的要求,故需要分频将时钟频率变为所需要的频率,用来作为60秒计时器的校准和正常运行。本实验最重要的部分就是8*8点阵显示模块和数码管显示模块。对于led灯来说,要让它按照设计好的图案显示,需要一行行对8*8点阵进行扫描,在列中来控制哪一行来显示,经过一定时间后到下一行显示,而控制红灯亮还是绿灯则通过不同的接口控制,在扫描频率够快的时候,由于人眼暂留效应,会误以为是一直在显示,以此达到所需要的效果。数码管的显示模块与此类似,通过选位信号选择需要亮的数码管,然后在扫描频率较高时则看到稳定显示的数字。2、系统框图(1)总体框图8*8点阵显示控制模块分频模块BTN0输入控制模块计时器8*8点阵显示模块(2)程序流程图开始初始化开机界面否BTN0是否按下是计时开始并且沙漏开始运动SW1是否翻转是否沙漏向相反方向流动计时是否到是59s重新开始计时否是否暂停是显示暂停三、源程序libraryieee;;;entitydiv4kisport(clk_in:instd_logic;clk_out:outstd_logic);end;t:integerrange0to1999;signalclk_tmp:std_logic;beginprocess(clk_in)beginif(clk_in'eventandclk_in='1')t=t<=0;clk_tmp<=notclk_tmp;t<=cnt+1;endif;endif;endprocess;clk_out<=clk_tmp;end;LIBRARYIEEE;;;ENTITYscan_led_2ISPORT(CLK:INSTD_LOGIC;BTN0:INSTD_LOGIC;SW0:INSTD_LOGIC;SW1:INSTD_LOGIC;SG:OUTSTD_LOGIC_VECTOR(6DOWNTO0);BT:OUTSTD_LOGIC_VECTOR(5DOWNTO0);R:OUTSTD_LOGIC_VECTOR(7DOWNTO0);Cr:OUTSTD_LOGIC_VECTOR(0TO6);Cg:OUTSTD_LOGIC_VECTOR(0TO6));END;ponentdiv4kport(clk_in:instd

北邮数电综合实验电子沙漏设计和实现 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数21
  • 收藏数0 收藏
  • 顶次数0
  • 上传人scuzhrouh
  • 文件大小163 KB
  • 时间2020-08-07