下载此文档

四位数值比较器.doc


文档分类:论文 | 页数:约5页 举报非法文档有奖
1/5
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/5 下载此文档
文档列表 文档介绍
EDA技术课程大作业设计题目:四位数值比较器院系:电子信息与电气工程系学生姓学号:200902070035专业班级:09电子信息工程(升),比较器的逻辑功能是用来对两输入端口送来的数据进行比较操作,然后将比较的结果送到输出端口上。通常,比较器对两个输入数据进行比较可以得到三种基本的比较结果:大于、小于和等于。当然,比较器也可以得到不大于、不小于和不等于等结果,而这几种结果可以由三种基本的比较结果进行取反运算即可。。一般来说,四位数值比较器的实体模块如图1-2-1所示,与其对应的真值表如表1-2-1所示。比较器含有两个4位位矢量输入端口A和B,3个比较结果输出端口GT、EQ、LT,以及3个级联输入端口I1、I2、I3。其中级联输入端口的作用是用来进行芯片的级联操作。通过这些端口可以对比较器的功能进行扩展。图1-2-1四位比较器实体模块A和B的关系I1I2I3GTEQLTA>BXXX100A<BXXX001A=BX1X010A=B1XX100A=BXX1001表1-2-、设计思路文字描述根据实体模块和真值表可知,设计两组四位数据输入端口,三个级联输入端口,三个数据比较结果输出端口。2、程序libraryieee;;paratorisport(A0,A1,A2,A3:instd_logic; B0,B1,B2,B3:instd_logic; I1,I2,I3:instd_logic;GT,EQ,LT:outstd_logic);parator;paratorissignalA_tmp,B_tmp:std_logic_vector(3downto0);begin A_tmp<=A3&A2&A1&A0; B_tmp<=B3&B2&B1&B0;process(A_tmp,B_tmp,I1,I2,I3)begin if(A_tmp>B_tmp)then GT<='1';EQ<='0';LT<='0'; elsif(A_tmp<B_tmp)then GT<='0';EQ<='0';LT<='1'; elsif(A_tmp=B_tmp)then if(I2='1')then GT<='0';EQ<='1';LT<='0'; elsif(I1='1')then GT<='1';EQ<='0';LT<='0'; elsif(I3='1')then GT<='0';EQ<='0';LT<='1'; e

四位数值比较器 来自淘豆网www.taodocs.com转载请标明出处.

非法内容举报中心
文档信息
  • 页数5
  • 收藏数0 收藏
  • 顶次数0
  • 上传人精品小课件
  • 文件大小817 KB
  • 时间2020-08-05