下载此文档

基于VHDL的DPSK载波传输系统设计.doc


文档分类:通信/电子 | 页数:约50页 举报非法文档有奖
1/50
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该文档所得收入归上传者、原创者。
  • 3.下载的文档,不会出现我们的网址水印。
1/50 下载此文档
文档列表 文档介绍
基于VHDL的DPSK载波传输系统设计专业:电子信息工程班级:2007级1班姓名:郭义斌目录引言 31VHDL概述 (VHDL) +PLUSII简介 +PLUSII的特点 +plusⅡ设计流程 142载波传输系统原理 (PSK)的调制 —相对码(差分编码) 243DPSK载波传输系统的建模 304DPSK载波传输系统的设计实现及程序设计 —相对码转化电路的设计实现及程序设计 —相对码转换的VHDL设计 —相对码转换的VHDL程序 —绝对码转化电路的设计实现及程序设计 —绝对码转换的VHDL的设计 —绝对码转换的VHDL程序 385DPSK载波传输系统的仿真结果分析 —相对码转换的VHDL程序仿真分析 —绝对码转换的VHDL程序仿真分析 41结论 43致谢 44参考文献 45摘要调制解调技术是通信系统的灵魂,其性能直接影响到整个系统的通信质量。由于数字技术的大量应用,数字调制解调技术得到了广泛的应用。随着软件无线电思想的发展,将整个系统尽可能地集成于一个芯片的设计方法已经呈现出强大的发展潜力,成为系统设计发展的主要方向。基于这种思想,介绍一种在单片FPGA上实现的全数字DPSK调制解调器的设计方法。整个设计基于ALTERA公司的MAX+PLUSII开发平台,并用单片FPGA芯片实现。本设计采用自顶向下的设计方法,主要思想是对数字系统进行模块划分。本文重点介绍DPSK调制解调器中的各个模块的具体实现和相应的VHDL程序。顶层设计中各个模块是作为元件来引用的,因此需要将它们设计成独立实体的形式。本设计以MAX+plusII为设计平台,从FPGA芯片的结构出发编写了VHDL程序,并对程序进行了仿真运行,结果表明设计是符合要求的,本次设计基本达到了预期的目标。基于FPGA技术设计并实现了2DPSK调制解调器。调制解调器主要包括码型转换和PSK调制模块的设计,解调采用差分相干解调,所有设计基于VHDL语言编程,整个系统的功能在Max+plusII上调试通过,并在芯片上硬件实现,具有较好的实用性和可靠性。关键词:FPGA;VHDL;DPSK;调制;,,,+PLUSIIofALTERA,-downdesignmethod,themainideaistodividethedig

基于VHDL的DPSK载波传输系统设计 来自淘豆网www.taodocs.com转载请标明出处.

相关文档 更多>>
非法内容举报中心
文档信息
  • 页数50
  • 收藏数0 收藏
  • 顶次数0
  • 上传人scuzhrouh
  • 文件大小1.21 MB
  • 时间2020-04-17